Lagarto I RISC-V Multi-core: Research Challenges to Build and Integrate a Network-on-Chip

Neiel I. Leyva-Santes, Ivan Pérez, César A. Hernández-Calderón, Enrique Vallejo, Miquel Moretó, Ramón Beivide, Marco A. Ramírez-Salinas, Luis A. Villa-Vargas

Research output: Chapter in Book/Report/Conference proceedingConference contributionpeer-review

4 Scopus citations

Abstract

Current compute-intensive applications largely exceed the resources of single-core processors. To face this problem, multi-core processors along with parallel computing techniques have become a solution to increase the computational performance. Likewise, multi-processors are fundamental to support new technologies and new science applications challenges. A specific objective of the Lagarto project developed at the National Polytechnic Institute of Mexico is to generate an ecosystem of high-performance processors for the industry and HPC in Mexico, supporting new technologies and scientific applications. This work presents the first approach of the Lagarto project to the design of multi-core processors and the research challenges to build an infrastructure that allows the flagship core of the Lagarto project to scale to multi- and many-cores. Using the OpenPiton platform with the Ariane RISC-V core, a functional tile has been built, integrating a Lagarto I core with memory coherence that executes atomic instructions, and a NoC that allows scaling the project to many-core versions. This work represents the initial state of the design of mexican multi-and many-cores processors.

Original languageEnglish
Title of host publicationSupercomputing - 10th International Conference on Supercomputing in Mexico, ISUM 2019, Revised Selected Papers
EditorsMoisés Torres, Jaime Klapp
PublisherSpringer
Pages237-248
Number of pages12
ISBN (Print)9783030380427
DOIs
StatePublished - 2019
Event10th International Conference on Supercomputing, ISUM 2019 - Monterrey, Mexico
Duration: 25 Mar 201929 Mar 2019

Publication series

NameCommunications in Computer and Information Science
Volume1151 CCIS
ISSN (Print)1865-0929
ISSN (Electronic)1865-0937

Conference

Conference10th International Conference on Supercomputing, ISUM 2019
Country/TerritoryMexico
CityMonterrey
Period25/03/1929/03/19

Keywords

  • Interconnection networks
  • Multi- and many-core
  • Multiprocessors RISC-V

Fingerprint

Dive into the research topics of 'Lagarto I RISC-V Multi-core: Research Challenges to Build and Integrate a Network-on-Chip'. Together they form a unique fingerprint.

Cite this